单选题: 在UVM和SystemVerilog的基础知识中,描述错误的是() A.如果某个操作消耗仿真时间,那么这个操作不能定义在function中。 B.当仿真命令行中出现+UVM_VERBOSITY=MEDIUM时`uvm_info("exam","DJI",UVM_LOW)对应的message不会被打印出来 C.build_phase用于创建component而且是top down执行的。 D.sequence中定义dmac变量,此sequence的`uvm_do_with(tr,{tr.dmac == dmac;})...