题解 | #简易秒表#

简易秒表

https://www.nowcoder.com/practice/6493ca8c7b67499f918e1fa33b4cdeda

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,

    output reg [5:0]	second,
    output reg [5:0]	minute
	);

always@(posedge clk or negedge rst_n )begin
	if(!rst_n)
		second<=6'd0;
	else if	(minute==6'd60)
		second<=6'd0;
	else if(second==6'd60)
		second<=6'd1;
	else
		second<=second+1'b1;	
end
	
always@(posedge clk or negedge rst_n )begin
	if(!rst_n)
		minute<=6'd0;
	else if(second==6'd60)
		minute<=minute+1'b1;	
	else 
		minute<=minute;
end
	
	
endmodule

全部评论
大佬这个操作不愧是大佬
点赞 回复 分享
发布于 2023-05-30 09:57 天津
感谢大佬分享,很实用的思路
点赞 回复 分享
发布于 2023-05-30 10:00 陕西

相关推荐

11-09 11:01
济南大学 Java
Java抽象带篮子:外卖项目真得美化一下,可以看看我的详细的外卖话术帖子
点赞 评论 收藏
分享
去B座二楼砸水泥地:不过也可以理解,这种应该没参加过秋招
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务