题解 | #移位运算与乘法#

移位运算与乘法

https://www.nowcoder.com/practice/1dd22852bcac42ce8f781737f84a3272

`timescale 1ns/1ns
module multi_sel(
input [7:0]d ,
input clk,
input rst,
output reg input_grant,
output reg [10:0]out
);
//*************code***********//

reg [1:0] counter;
reg [7:0] d_reserved;

always @(posedge clk, negedge rst) begin
    if(!rst) 
        counter <= 0;
    else if(counter == 3)
        counter <= 0;
    else
        counter <= counter + 1;
end

always @(posedge clk, negedge rst) begin
    if(!rst) begin
        out = 0;
        input_grant = 0;
    end
    else begin
        case(counter)
            2'b00: 
                begin
                    d_reserved = d;
                    out = d_reserved * 1;
                    input_grant = 1;
                end
            2'b01: 
                begin
                    out = d_reserved * 3;
                    input_grant = 0;
                end
            2'b10: 
                begin
                    out = d_reserved * 7;
                    input_grant = 0;
                end
            2'b11: 
                begin
                    out = d_reserved * 8;
                    input_grant = 0;
                end
        endcase
    end
end

//*************code***********//
endmodule

全部评论

相关推荐

醒工硬件:1学校那里把xxxxx学院去了,加了学院看着就不像本校 2简历实习和项目稍微精简一下。字太多,面试官看着累 3第一个实习格式和第二个实习不一样。建议换行 4项目描述太详细了,你快把原理图贴上来了。比如可以这样描述:使用yyyy芯片,使用xx拓扑,使用pwm控制频率与占空比,进行了了mos/电感/变压器选型,实现了xx功能 建议把技术栈和你做的较为有亮点的工作归纳出来 5熟悉正反激这个是真的吗
点赞 评论 收藏
分享
nbdy:字太多了,写简历不是写自传,亮点难点技能点列出来就行,要简明扼要
点赞 评论 收藏
分享
评论
1
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务