题解 | #多功能数据处理器#

多功能数据处理器

https://www.nowcoder.com/practice/e009ab1a7a4c46fb9042c09c77ee27b8

`timescale 1ns/1ns
module data_select(
	input clk,
	input rst_n,
	input signed[7:0]a,
	input signed[7:0]b,
	input [1:0]select,
	output reg signed [8:0]c
);
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		c <= 9'b0 ;
	end
	else begin
		case(select)
			2'd0: begin
				c <= a ;
			end
			2'd1: begin
				c <= b ;
			end
			2'd2: begin
				c <= a + b ;
			end
			2'd3: begin
				c <= a - b ;
			end
			default : begin
				c <= a - b ;
			end
		endcase
	end

end
endmodule

全部评论
膜拜大佬,啥也不说了
点赞 回复 分享
发布于 2023-05-28 20:30 内蒙古
大佬牛掰,但是我还是有点没明白为什么要用timescale
点赞 回复 分享
发布于 2023-05-28 20:36 黑龙江

相关推荐

拒绝无效加班的小师弟很中意你:求职意向没有,年龄、课程冗余信息可以删掉,需要提升项目经历。排版需要修改。
点赞 评论 收藏
分享
不愿透露姓名的神秘牛友
10-15 14:22
点赞 评论 收藏
分享
点赞 1 评论
分享
牛客网
牛客企业服务