题解 | #异步复位的串联T触发器#

异步复位的串联T触发器

https://www.nowcoder.com/practice/9c8cb743919d405b9dac28eadecddfb5

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
reg q1;
always@(posedge clk or negedge rst)
if (~rst)begin
			q1<=0;q<=0;
end
else begin
		 	if(data)begin
		 	q1<=~q1;
			end
		 	if(q1)begin
		 	q<=~q;
		 	end
end
//*************code***********//
endmodule

全部评论

相关推荐

09-04 21:05
已编辑
西南科技大学 Java
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务