题解 | #边沿检测#

边沿检测

http://www.nowcoder.com/practice/fed4247d5ef64ac68c20283ebace11f4

`timescale 1ns/1ns module edge_detect( input clk, input rst_n, input a,

output reg rise,
output reg down

);

reg b;
always @ (posedge clk or negedge rst_n) begin
    if (! rst_n) begin
        rise <= 0;
        down <= 0;
        b <= a;
    end
    else 
        if (a - b == 1) begin
            b <= a;
            rise = 1;
            down <= 0;
        end
        else if (b - a == 1) begin
            b <= a;
            down = 1;
            rise <= 0;
        end
        else begin
            rise <= 0;
            down <= 0;
            b <= a;
        end
    end

endmodule

全部评论

相关推荐

2024-12-16 19:50
已编辑
香港中文大学 前台
点赞 评论 收藏
分享
2024-12-05 15:39
门头沟学院 Java
正在努力学习的鼠鼠:这个博主就是主要做校招互联网招聘的,恰的就是这个流量,你问他他肯定给你列出来100条互联网的好。
点赞 评论 收藏
分享
评论
1
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务