需要一个标志位,控制分钟60之后,秒表不增加 `timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); reg flag; always @(posedge clk or negedge rst_n) begin if(!rst_n) begin minute <= 6'd0; second <= 6'd0; flag <= 1'd0; ...