题解 | #含有无关项的序列检测#

含有无关项的序列检测

https://www.nowcoder.com/practice/cba67d06d6834a5d9b93e1087b56c8d8

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output match
	);

	reg [8:0] a_tem;
	reg match_f;
	reg match_b;
	
	always @(posedge clk or negedge rst_n)
	begin
		if (!rst_n)
		begin 
			match_f <= 1'b0;
			match_b <= 1'b0;
			a_tem <= 9'b0;
		end
		else
		begin
			
			a_tem <= {a_tem[7:0], a};

			if (a_tem[8:6] == 3'b011)
				match_f <= 1'b1;
			else
				match_f <= 1'b0;

			if (a_tem[2:0] == 3'b110)
				match_b <= 1'b1;
			else
				match_b <= 1'b0;
		end
	end

	assign match = match_b && match_f;

endmodule

全部评论

相关推荐

02-01 19:48
门头沟学院 Java
神哥了不得:(非引流)直接暑期吧,没时间日常了,老鱼简历把水印去了,或者换个模板,简历字体大小都不太行,建议换2个高质量的项目,面试应该还会再多一些
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务