题解 | #流水线乘法器#

流水线乘法器

https://www.nowcoder.com/practice/be97f63817c543fe9260d46d971a7283

`timescale 1ns/1ns

module multi_pipe#(
	parameter size = 4
)(
	input 						clk 		,   
	input 						rst_n		,
	input	[size-1:0]			mul_a		,
	input	[size-1:0]			mul_b		,
 
 	output	reg	[size*2-1:0]	mul_out		
);

wire [size*2-1:0] temp [size-1:0];

assign temp[0] = mul_b[0] ? {4'b0,mul_a}:8'b0;
assign temp[1] = mul_b[1] ? {3'b0,mul_a,1'b0}:8'b0;
assign temp[2] = mul_b[2] ? {2'b0,mul_a,2'b0}:8'b0;
assign temp[3] = mul_b[3] ? {1'b0,mul_a,3'b0}:8'b0;

reg [size*2-1:0] sum1 [0:1];

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		sum1[0] <= 8'b0;
		sum1[1] <= 8'b0;
	end
	else begin
		sum1[0] <= temp[0] + temp[1];
		sum1[1] <= temp[2] + temp[3];
	end
end

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		mul_out <= 'b0;
	end
	else begin
		mul_out <= sum1[0] + sum1[1];
	end
end

endmodule

全部评论

相关推荐

昨天 18:19
已编辑
天津大学 Java
每次看到那些竞争激烈的岗位,我就觉得自己卷不动了。和我一样感到辛苦的人不少,大家都在说退出秋招。我也想放弃了,实在是撑不住了。
起一个响亮的名字吧____:天大爷不要放弃,我八月中旬开始投递的,到九月上旬都一直没有面试,我也一度觉得9本学历没有用😭但是这两周也开始陆陆续续约面了,也面了一些中厂。虽然腾讯阿里都是简历挂,虽然被字节面试官拷打嘲讽,但是我相信秋招只要一直积极准备总会有收获的,祝你我都拿到属于自己的最好的offer!😸
点赞 评论 收藏
分享
mikufan_bjtu:(警惕老哥新型赛博钓鱼) 项目可以侧重两个擅长项目,内容最好分成点去写,比一大段看着好。本科的荣誉也可以网上加一加,先说这么多8
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务