题解 | #可置位计数器#

可置位计数器

https://www.nowcoder.com/practice/b96def986e29475e8100c213178b73a8

`timescale 1ns/1ns

module count_module(
	input 				clk,
	input 				rst_n,
	input 				set,
	input [3:0] 		set_num,
	output reg [3:0]	number,
	output reg 			zero
	);

// 注意:从波形图可以看到,计数器输出number延迟一个时钟周期才输出,因此需要加一个中间计数器num
reg [3:0] num;

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		num<=4'd0;
	else if(set==1'b0)
		if(num==4'd15)
			num<=4'd0;
		else
			num<=num+1'b1;
	else 
		num<=set_num;
end

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		zero <=1'b0;
	else if(num==4'd0)
		zero <=1'b1;
	else
		zero <=1'b0;
end

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
		number <=4'd0;
	else 
		number<= num ;
end
endmodule

全部评论

相关推荐

01-24 12:50
门头沟学院 C++
投票
菜狗二号:还有啥想的 指定国有行啊,去了就开始幸福美满的生活了,选华子不是折腾自己么,最终财富积累度是差不多的,但是幸福指数是相差甚远的
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务