题解 | #输入序列连续的序列检测#

输入序列连续的序列检测

https://www.nowcoder.com/practice/d65c2204fae944d2a6d9a3b32aa37b39

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);
// 01110001
reg [7:0] a_ray;
always @(posedge clk or negedge rst_n) begin
    if (!rst_n) begin
        a_ray <= 0;
        match <= 0;
    end
    else begin
        a_ray <= {a_ray[6:0],a};
        if (a_ray==8'b01110001) begin
            match <= 1;
        end
        else match <= 0;
    end
end
endmodule

全部评论

相关推荐

点赞 评论 收藏
分享
06-12 16:00
天津大学 Java
牛客30236098...:腾讯坏事做尽,终面挂是最破防的 上次被挂了后我连简历都不刷了
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务