题解 | #边沿检测#
边沿检测
https://www.nowcoder.com/practice/fed4247d5ef64ac68c20283ebace11f4
`timescale 1ns/1ns module edge_detect( input clk, input rst_n, input a, output reg rise, output reg down ); reg rise_down_ray; always @(posedge clk or negedge rst_n) if (!rst_n) begin rise <= 1'b0; down <= 1'b0; rise_down_ray <= a; end else if (!rise_down_ray && a) begin rise_down_ray <= a; rise <= 1'b1; down <= 1'b0; end else if (rise_down_ray && !a) begin rise_down_ray <= a; down <= 1'b1; rise <= 1'b0; end else begin rise_down_ray <= a; down <= 1'b0; rise <= 1'b0; end endmodule
这里需根据邻近2个时钟周期的a信号的状态来判断rise和down的状态,
不可以通过对a进行边沿检测的方式判断rise和down的状态。