题解 | #根据状态转移表实现时序电路#
根据状态转移表实现时序电路
https://www.nowcoder.com/practice/455c911bee0741bf8544a75d958425f7
FSM的运用
FSM分为摩尔型FSM和米莉型FSM;
他俩啥区别啊!简单地说就是前者的输出只与此时的状态有关,后者的输出不仅与此时的状态有关还与输入有关;
写FSM分为一段式,两段式,三段式,四段式。
反而感觉四段式FSM更好理解呐
每个状态具体干啥就得看题目要求了;
最好用localparam对每个状态命好名字,表征好每个状态的特征。使代码易读。
`timescale 1ns/1ns module seq_circuit( input A , input clk , input rst_n, output wire Y ); reg [1:0] curr_state;//定义现态 reg [1:0] next_state;//定义次态 //定义各个状态的名字,命名要规范后续读代码才能易懂;改题没有特殊含义,就用s0,s1,s2,s3命名四个状态; //locaparam的用法 localparam s0 = 2'b00, s1 = 2'b01, s2 = 2'b10, s3 = 2'b11; //状态机第一段,把次态给现态 always @ (posedge clk or negedge rst_n)begin if (!rst_n)begin curr_state <= 2'b00; next_state <= 2'b00; end else begin curr_state <= next_state; end end //状态机第二段,状态转移的条件 always @ (*)begin case(curr_state) s0:next_state=(A==1'b1)?2'b11:2'b01; s1:next_state=(A==1'b1)?2'b00:2'b10; s2:next_state=(A==1'b1)?2'b01:2'b11; s3:next_state=(A==1'b1)?2'b10:2'b00; default:next_state = 2'b00; endcase end assign Y = (curr_state==2'b11)?1:0; endmodule#23届找工作求助阵地##刷题#