题解 | #含有无关项的序列检测#

含有无关项的序列检测

https://www.nowcoder.com/practice/cba67d06d6834a5d9b93e1087b56c8d8

//序列缓存对比法 用首尾有效数字判断 或者casez

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);
	reg [8:0]a_tem;
	always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
	a_tem<=0;
	else 
	a_tem<={a_tem[7:0],a};
	end
	always@(posedge clk or negedge rst_n)begin
	if(!rst_n)
	match<=0;
	else if(a_tem[8:6]==3'b011&a_tem[2:0]==3'b110)
	match<=1;
	else
	match<=0;
	end
//       always @(posedge clk or negedge rst_n) begin
//         if (!rst_n) begin
//             match <= 1'b0;
//         end else begin
//             casex (val)
//                 9'b011xxx110 : match <= 1'b1;
//                 default : match <= 1'b0;
//             endcase
//         end
//     end
endmodule

全部评论

相关推荐

点赞 收藏 评论
分享
牛客网
牛客企业服务