题解 | #流水线乘法器#
流水线乘法器
https://www.nowcoder.com/practice/be97f63817c543fe9260d46d971a7283
`timescale 1ns/1ns module multi_pipe#( parameter size = 4 )( input clk , input rst_n , input [size-1:0] mul_a , input [size-1:0] mul_b , output reg [size*2-1:0] mul_out ); localparam N=size*2; wire [N-1:0] part_pro[size-1:0]; genvar i; generate for(i=0;i<size;i=i+1) // assign part_pro[i] = (mul_b & {size{mul_a[i]}})<<i; assign part_pro[i] = (mul_b[i])? mul_a<<i : 'd0; endgenerate reg [N-1:0] sum_part[size-1:0]; integer j; always@(posedge clk or negedge rst_n) begin if(~rst_n) for(j=0;j<2;j=j+1) sum_part[j] <= 0; else for(j=0;j<2;j=j+1) sum_part[j] <= part_pro[2*j] + part_pro[2*j+1]; end always@(posedge clk or negedge rst_n) begin if(~rst_n) mul_out <= 0; else mul_out <= sum_part[0] + sum_part[1]; end endmodule