题解 | #边沿检测#

边沿检测

http://www.nowcoder.com/practice/fed4247d5ef64ac68c20283ebace11f4

`timescale 1ns/1ns module edge_detect( input clk, input rst_n, input a,

output reg rise,
output reg down

); reg out1;

always @(posedge clk or negedge rst_n)
    if(!rst_n)
        out1 <= 0;
    else
        out1 <= a;
 always @(posedge clk or negedge rst_n)
     if(!rst_n)begin
        rise <= 0;
        down <= 0;
     end
     else if(~out1 & a)
         rise <= 1;
     else if(out1 & ~a)
         down <= 1;
     else begin
         rise <= 0;
         down <= 0;
     end

endmodule

全部评论

相关推荐

头像
11-09 12:17
清华大学 C++
out11Man:小丑罢了,不用理会
点赞 评论 收藏
分享
10-11 17:30
湖南大学 C++
我已成为0offer的糕手:羡慕
点赞 评论 收藏
分享
评论
1
收藏
分享
牛客网
牛客企业服务