题解 | #十六进制计数器#

十六进制计数器

http://www.nowcoder.com/practice/7a7cf1062faf4b5dbb578e0c761c2b42

。。。。?

`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
    always @(posedge clk or negedge rst_n) begin
        if (!rst_n) begin
            Q <= 4'b0;
        end else begin
            Q <= Q +1'b1;
        end
    end
endmodule
全部评论
else if(Q==4'b1111) count<=4'b0000; 用了反馈清零
2 回复 分享
发布于 2022-04-18 11:09

相关推荐

Beeee0927:正确的建议
点赞 评论 收藏
分享
评论
6
1
分享

创作者周榜

更多
牛客网
牛客企业服务