题解 | #含有无关项的序列检测#

含有无关项的序列检测

http://www.nowcoder.com/practice/cba67d06d6834a5d9b93e1087b56c8d8

`timescale 1ns/1ns module sequence_detect( input clk, input rst_n, input a, output reg match ); reg [8:0] trans;

always @(posedge clk or negedge rst_n)begin
    if(~rst_n)begin
        trans<=0;
    end
    else begin
        trans<={trans[7:0],a};
    end
end

always @(posedge clk or negedge rst_n)begin
    if(~rst_n)begin
        match<=0;
    end
    else begin
        match<=(trans[8:6]==3'b011)&(trans[2:0]==3'b110);
    end
end
    

endmodule

全部评论

相关推荐

死在JAVA的王小美:哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈哈,我也是,让我免了一轮,但是硬气拒绝了
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务