题解 | #数据串转并电路#

数据串转并电路

http://www.nowcoder.com/practice/6134dc3c8d0741d08eb522542913583d

`timescale 1ns/1ns

module s_to_p(
    input                 clk         ,   
    input                 rst_n        ,
    input                valid_a        ,
    input                 data_a        ,
 
     output    reg         ready_a        ,
     output    reg            valid_b        ,
    output  reg [5:0]     data_b
);
    reg[2:0]cnt;
    reg[5:0]b_reg;
    always@(posedge clk or negedge rst_n)begin
        if(!rst_n)begin
            cnt<=3'b0;
            b_reg<=6'b0;
        end
        else if(valid_a)begin
            b_reg[cnt]<=data_a;
            cnt<=cnt+1;
        end
        else begin
            b_reg<=b_reg;
            cnt<=cnt;
        end
    end
    always@(posedge clk or negedge rst_n)begin
        if(!rst_n)begin
            ready_a<=1'b0;
            valid_b<=1'b0;
            data_b<=6'b0;
        end
        else begin
            ready_a<=1'b1;
            if(cnt==3'd5)begin
                data_b<=b_reg;
                valid_b<=1'b1;
                cnt<=3'b0;
            end
            else begin
                valid_b<=1'b0;
            end
        end
    end
    
endmodule
全部评论

相关推荐

昨天 01:04
已编辑
门头沟学院 Java
佬们,南瑞继保逼签三方,下午就给结果,希望大家提点建议更新:已拒南瑞继保,下个月继续面银行运营商
小帅哥噢:不知道继保怎么样,但是优选是美团的亏损业务部门,一年亏损一百多个亿,高薪招人进去,进去业务***掉容易被裁,很危险呀,去优选是49年入国军了
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务