VHDL选手报道。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MUX4_1 is Port (d1 : in std_logic_vector(1 downto 0); d2 : in std_logic_vector(1 downto 0);  ...