首页 > 试题广场 >

简易秒表

[编程题]简易秒表
  • 热度指数:16064 时间限制:C/C++ 5秒,其他语言10秒 空间限制:C/C++ 256M,其他语言512M
  • 算法知识视频讲解

请编写一个模块,实现简易秒表的功能:具有两个输出,当输出端口second1-60循环计数,每当second计数到60,输出端口minute加一,一直到minute=60,暂停计数。

模块的接口信号图如下:


       模块的时序图如下:

      

      

请使用Verilog HDL实现以上功能,并编写testbench验证模块的功能

输入描述:
clk:系统时钟信号
rst_n:异步复位信号,低电平有效


输出描述:
second:6比特位宽,秒表的秒读数
minute:6比特位宽,秒表的分读数
头像 牛客阿芙
发表于 2022-03-03 21:20:28
精华题解 题解主体        首先确定second的取值逻辑:当minute=60时停止计数,即保持second为0;当second=60时,下一个周期second置为1。其余情况second 等于前一时刻的值加一。 展开全文
头像 qkyjs6
发表于 2022-03-16 23:24:14
module count_module( //秒表计数器 input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); reg flag1 = 0; always@(posedge clk or negedge 展开全文
头像 Leonico
发表于 2022-04-09 15:08:12
一个比较简单的秒表计数器。 `timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); // 秒 展开全文
头像 APEX职业选手
发表于 2023-06-25 15:42:41
`timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); always@(posedge clk o 展开全文
头像 leooo。
发表于 2022-06-02 18:19:50
`timescale 1ns/1ns module count_module(     input clk,     input rst_n,     output reg [5:0]second 展开全文
头像 Huashengdou
发表于 2022-09-20 18:21:05
`timescale 1ns/1ns module count_module(     input clk,     input rst_n, 展开全文
头像 希望我也能有offer
发表于 2024-06-11 15:34:36
需要一个标志位,控制分钟60之后,秒表不增加 `timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); 展开全文
头像 江左子固
发表于 2024-02-24 22:29:32
`timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); always@(posedge clk or 展开全文
头像 牛客157503732号
发表于 2022-12-08 11:06:13
`timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); always @(posedge clk 展开全文
头像 神气的小笼包
发表于 2023-07-28 15:51:24
`timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); reg stop_flag; alw 展开全文
头像 来自204的牛魔王
发表于 2023-05-20 14:29:18
module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); always @ (posedge clk or negedge rst_n ) be 展开全文