题解 | #使用子模块实现三输入数的大小比较#

使用子模块实现三输入数的大小比较

https://www.nowcoder.com/practice/bfc9e2f37fe84c678f6fd04dbce0ad27

`timescale 1ns/1ns
module main_mod(
	input clk,
	input rst_n,
	input [7:0]a,
	input [7:0]b,
	input [7:0]c,
	
	output [7:0]d
);
wire [7:0] x,y;
sub_mod sub_mod_u0(
	 .clk(clk),
	 .rst_n(rst_n),
	 .a(a),
	 .b(b),	

	.d(x)
);
sub_mod sub_mod_u1(
	 .clk(clk),
	 .rst_n(rst_n),
	 .a(a),
	 .b(c),	

	.d(y)
);

sub_mod sub_mod_u2(
	 .clk(clk),
	 .rst_n(rst_n),
	 .a(x),
	 .b(y),	

	.d(d)
);
endmodule


module sub_mod(
	input clk,
	input rst_n,
	input [7:0]a,
	input [7:0]b,	

	output reg [7:0]d
);
always@(posedge clk , negedge rst_n)begin
	if(!rst_n)
		d <= 0;
	else if(a > b)
		d <= b;
	else
		d <= a;

end

endmodule

全部评论

相关推荐

01-18 09:26
已编辑
门头沟学院 Java
王桑的大offer:建议中间件那块写熟悉即可,写掌握 面试包被拷打到昏厥
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务