题解 | #占空比50%的奇数分频#

占空比50%的奇数分频

https://www.nowcoder.com/practice/ccfba5e5785f4b3f9d7ac19ab13d6b31

`timescale 1ns/1ns

module odo_div_or
   (
    input    wire  rst ,
    input    wire  clk_in,
    output   wire  clk_out7
    );

//*************code***********//
reg [2:0] cnt;
reg clk_out7_reg; 
always@(posedge clk_in or negedge clk_in or negedge rst)
if(!rst) cnt<=0;
else if(cnt==6) cnt<=0;else cnt<=cnt+1;

always@(posedge clk_in or negedge clk_in or negedge rst)
if(!rst) clk_out7_reg<=0;
else if(cnt==6) clk_out7_reg<=~clk_out7_reg;

assign clk_out7=clk_out7_reg;

//*************code***********//
endmodule

全部评论

相关推荐

不愿透露姓名的神秘牛友
昨天 19:38
点赞 评论 收藏
分享
牛客977679609号:感觉你会的东西还挺多的但简历一般都不这样写,建议只写一页,教育经历只留学校,导师单位啥的全去了,作品展示和自我评价都去了,科研成果写在所获荣誉里,项目保留,浓缩成一页。
点赞 评论 收藏
分享
09-03 14:50
长春大学 Java
牛客407945238号:这环境…怎么看都像是低配版的电诈园区
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务