题解 | #占空比50%的奇数分频#

占空比50%的奇数分频

https://www.nowcoder.com/practice/ccfba5e5785f4b3f9d7ac19ab13d6b31

`timescale 1ns/1ns

module odo_div_or
   (
    input    wire  rst ,
    input    wire  clk_in,
    output   wire  clk_out7
    );

//*************code***********//
reg [2:0] cnt;
reg clk_out7_reg; 
always@(posedge clk_in or negedge clk_in or negedge rst)
if(!rst) cnt<=0;
else if(cnt==6) cnt<=0;else cnt<=cnt+1;

always@(posedge clk_in or negedge clk_in or negedge rst)
if(!rst) clk_out7_reg<=0;
else if(cnt==6) clk_out7_reg<=~clk_out7_reg;

assign clk_out7=clk_out7_reg;

//*************code***********//
endmodule

全部评论

相关推荐

点赞 评论 收藏
分享
WesterlyDrift:你拍完照又把选项改回去的样子真的很狼狈😤😤
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务