题解 | #信号顺序调整#

信号顺序调整

https://www.nowcoder.com/practice/3f6db9ded7ca4de7981c0a826e924563

`timescale 1ns/1ns

module top_module(
    input [15:0] in,
    output [15:0] out
);

wire [3:0] a,b,c,d;
assign a = in[3:0];
assign b = in[7:4];
assign c = in[11:8];
assign d = in[15:12];
assign out = {d,c,b,a};


endmodule

全部评论

相关推荐

头像
10-22 17:08
安徽大学 Java
点赞 评论 收藏
分享
09-20 09:17
已编辑
中国矿业大学 机械设计师
大连理工大学机械工程师:拖拉机研究院1.5
点赞 评论 收藏
分享
ArisRobert:统一解释一下,第4点的意思是,公司按需通知员工,没被通知到的员工是没法去上班的,所以只要没被通知到,就自动离职。就是一种比较抽象的裁员。
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务