题解 | #含有无关项的序列检测#
含有无关项的序列检测
https://www.nowcoder.com/practice/cba67d06d6834a5d9b93e1087b56c8d8
`timescale 1ns/1ns module sequence_detect( input clk, input rst_n, input a, output reg match ); reg [8:0]str; always @(posedge clk or negedge rst_n) begin if(!rst_n) str <= 'b0; else str <= {str[8:0],a}; end always @(posedge clk or negedge rst_n) begin if(!rst_n) match <= 0; else match <= (str~^9'b011xxx110) === 9'b111xxx111 ? 1 : 0;//~^同或起到按位比较是否等于并返回的作用 end endmodule