题解 | #序列发生器#

序列发生器

https://www.nowcoder.com/practice/1fe78a981bd640edb35b91d467341061

`timescale 1ns/1ns

module sequence_generator(
	input clk,
	input rst_n,
	output reg data
	);

	/*reg [2:0] cnt;
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			cnt <= 0;
		end
		else begin
			cnt <= (cnt == 3'd5)? 0: cnt + 1'b1;
		end
	end

	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			data <= 0;
		end
		else begin
			case(cnt) 
				0: data <= 0;
				1: data <= 0;
				2: data <= 1;
				3: data <= 0;
				4: data <= 1;
				5: data <= 1;
				default: data <= 0;
			endcase
		end
	end*/
	


	reg [5:0] data_in_reg;
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			data_in_reg <= 6'b001011;
		end
		else begin
			data_in_reg <= {data_in_reg[4:0],data_in_reg[5]};
		end
	end
	
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			data <= 0;
		end
		else begin
			data <= data_in_reg[5];
		end
	end

endmodule

全部评论

相关推荐

03-11 14:28
浙江大学 设计
牛客小黄鱼:代入一下,独居女生会觉得有点可怕
点赞 评论 收藏
分享
Elastic90:公司不要求加班,但 又不允许你准点下班,经典又当又立
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务