题解 | #边沿检测#

边沿检测

https://www.nowcoder.com/practice/fed4247d5ef64ac68c20283ebace11f4

`timescale 1ns/1ns
module edge_detect(
	input clk,
	input rst_n,
	input a,
	
	output reg rise,
	output reg down
);

reg a_tem;

       always @(posedge clk or negedge rst_n)

              if (!rst_n)

                     a_tem <= 1'b0;

              else

                     a_tem <= a;	

  always @(posedge clk or negedge rst_n)

              if (!rst_n)

                     begin

                            rise <= 1'b0;

                            down <= 1'b0;
                     end
else if (!a_tem&&a)
begin
rise<=1'b1;
down<=1'b0;
end

else if (a_tem&&!a)
begin
rise<=1'b0;
down<=1'b1;
end

else 
begin
rise<=1'b0;
down<=1'b0;
end

endmodule

全部评论

相关推荐

联洲 sp+2 比联洲总包低10w
不疼怎么着:首先排除海康和联想,看美团小程序容器是做什么的,小程序容器是指容器调度业务层面是小程序还是什么
点赞 评论 收藏
分享
等华子还是签贝壳?
浩浩找实习:贝壳神中神梦中情场,但是没有面试机会
点赞 评论 收藏
分享
不愿透露姓名的神秘牛友
10-05 10:13
已编辑
HHHHaos:让这些老登来现在秋招一下,简历都过不去
点赞 评论 收藏
分享
offer飞来我手中:为啥现在看到大多数公司都有提前实习的要求
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务