题解 | #输入序列不连续的序列检测#

输入序列不连续的序列检测

https://www.nowcoder.com/practice/f96d0e94ec604592b502b0f1800ed8aa

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input data,
	input data_valid,
	output reg match
	);
reg		[3:0] data_4d ;
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		data_4d <= 4'b0 ;
	end
	else if(data_valid) begin
		data_4d <= {data_4d[2:0],data} ;
	end
	else begin
		data_4d <= 4'b0 ;
	end
end
always @(*) begin
	match = (data_4d == 4'b0110) ;
end
endmodule

全部评论

相关推荐

Hello_WordN:咱就是说,除了生命其他都是小事,希望面试官平安,希望各位平时也多注意安全
点赞 评论 收藏
分享
评论
点赞
收藏
分享
牛客网
牛客企业服务