题解 | #ROM的简单实现#

ROM的简单实现

https://www.nowcoder.com/practice/b76fdef7ffa747909b0ea46e0d13738a

`timescale 1ns/1ns
module rom(
	input clk,
	input rst_n,
	input [7:0]addr,
	
	output [3:0]data
);
	reg		[3:0]	ram [0:7] ;
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n) begin
			ram[0] <= 4'b0 ;
			ram[1] <= 4'd2 ;
			ram[2] <= 4'd4 ;
			ram[3] <= 4'd6 ;
			ram[4] <= 4'd8 ;
			ram[5] <= 4'd10 ;
			ram[6] <= 4'd12 ;
			ram[7] <= 4'd14 ;
		end
		else begin
			ram[0] <= 4'b0 ;
			ram[1] <= 4'd2 ;
			ram[2] <= 4'd4 ;
			ram[3] <= 4'd6 ;
			ram[4] <= 4'd8 ;
			ram[5] <= 4'd10 ;
			ram[6] <= 4'd12 ;
			ram[7] <= 4'd14 ;		end
	end
	assign data = ram[addr] ;
endmodule

全部评论

相关推荐

Noob1024:一笔传三代,人走笔还在
点赞 评论 收藏
分享
整顿职场的柯基很威猛:这种不可怕,最可怕的是夹在一帮名校里的二本选手,人家才是最稳的。
点赞 评论 收藏
分享
不愿透露姓名的神秘牛友
11-27 10:28
点赞 评论 收藏
分享
评论
点赞
收藏
分享
牛客网
牛客企业服务