题解 | #Johnson Counter#

Johnson Counter

https://www.nowcoder.com/practice/7ee6e9ed687c40c3981d7586a65bc22d

`timescale 1ns/1ns

module JC_counter(
   input                clk ,
   input                rst_n,
 
   output reg [3:0]     Q  
);
reg [2:0]cnt;
always@(posedge clk or negedge rst_n)begin 
    if(!rst_n)
    cnt<=0;
    else if(cnt==7)
    cnt<=0;
    else 
    cnt<=cnt+1;
end
always@(posedge clk or negedge rst_n)begin 
    if(!rst_n)
     Q<=0;
     else if(cnt<=3)
     Q<={1'b1,Q[3:1]};
     else 
     Q<={1'b0,Q[3:1]};
end
endmodule

全部评论

相关推荐

图源网络侵权删
dddooola:这个事情我去年8月就看到有人b站(up:程序员正义)在举报了,可能一直在查,这次是查明后的处分了
点赞 评论 收藏
分享
MScoding:你这个实习有一个是当辅导老师,这个和找技术岗没有关系吧?
点赞 评论 收藏
分享
起名字真难233:人家只有找猴子的预算,来个齐天大圣他们驾驭不住呀😂😂
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客企业服务