题解 | #输入序列不连续的序列检测#

输入序列不连续的序列检测

https://www.nowcoder.com/practice/f96d0e94ec604592b502b0f1800ed8aa

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input data,
	input data_valid,
	output reg match
	);
reg [3:0] shift_reg;

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		shift_reg <= 4'b0;
	end
	else begin
		if(data_valid)begin
			shift_reg <= {shift_reg[2:0],data};
		end
		else begin
			shift_reg <= {shift_reg[2:0],1'b0};
		end
	end
end

always @(*)begin
	if(!rst_n)begin
		match = 1'b0;
	end
	else begin
		if(shift_reg == 4'b0110)begin
			match = 1'b1;
		end
		else begin
			match = 1'b0;
		end
	end
end
endmodule

全部评论

相关推荐

Crank_:如果上面写的确实都会的话,这简历无敌
点赞 评论 收藏
分享
09-12 15:03
已编辑
台州学院 材料工程师
点赞 评论 收藏
分享
如题,8.13一面,8.16二面,8.29三面,9.3四面,9.19HR面,9.23流程结束技术面完就该确定要不要人了吧,还非要加个HR面然后再综合排序,纯浪费我时间
勤奋努力的大熊猫已转码:这也太逆天了,我记得每家公司在招聘开始的时候,都会给面试官一个准则:应聘者即使最后没有获得职位,其对公司的认可度也应当提高,显然大部分公司都做不到这一点
点赞 评论 收藏
分享
点赞 收藏 评论
分享
牛客网
牛客企业服务