题解 | #十六进制计数器#
十六进制计数器
https://www.nowcoder.com/practice/7a7cf1062faf4b5dbb578e0c761c2b42
`timescale 1ns/1ns module counter_16( input clk , input rst_n , output reg [3:0] Q ); always @(posedge clk or negedge rst_n) if(!rst_n) Q <= 4'h0; else Q <= Q + 4'h1; endmodule