题解 | #简易秒表#
简易秒表
https://www.nowcoder.com/practice/6493ca8c7b67499f918e1fa33b4cdeda
`timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); always @(posedge clk or negedge rst_n)begin if(!rst_n)begin second <= 6'd0; end else begin if(second == 6'd60) // 从1 加到60 second <= 6'd1; else second <= second + 1'b1; end end always @(posedge clk or negedge rst_n)begin if(!rst_n)begin minute <= 6'd0; end else begin if(minute == 6'd60) // 60分钟到了 minute <= 6'd1; else begin if(second == 6'd60)//一分钟到了 minute <= minute + 1'b1; else minute <= minute; end end end endmodule