题解 | #自动贩售机1#

自动贩售机1

http://www.nowcoder.com/practice/dcf59e6c51f6489093495acb1bc34dd8

我的代码就15行,没有用状态机,是不是不规范呀。

`timescale 1ns/1ns

module seller1(

input wire clk  ,
input wire rst  ,
input wire d1 ,
input wire d2 ,
input wire d3 ,

output reg out1,
output reg [1:0]out2

); //**code//

reg [2:0] MoneySum;
always @(posedge clk or negedge rst) begin
    if(!rst) begin
        MoneySum <= 3'd0;
        out1 <= 1'b0;
        out2 <= 2'd0; end
    else if(MoneySum < 3'b011) begin
        MoneySum <= MoneySum + {d3,d2,d1};
        out1 <= 1'b0;
        out2 <= 2'b00; end
    else begin
        MoneySum <= 3'b000;
        out1 <= 1'b1;
        out2 <= MoneySum - 3'b011; end
end

//**code// endmodule

全部评论

相关推荐

菜菜咪:1. 可以使用简历网站的模版,美观度会更好一点 2. 邮箱可以重新申请一个,或者用qq邮箱的别名,部分hr可能会不喜欢数字邮箱 3. 项目经历最好分点描述,类似的项目很多,可以参考一下别人怎么写的 4. 自我评价可加可不加,技术岗更看重技术。最后,加油,优秀士兵
点赞 评论 收藏
分享
点赞 评论 收藏
分享
12-10 13:06
北京大学 Java
牛友们都收到开奖电话没
淳水微凉:骗人的,散了散了
点赞 评论 收藏
分享
评论
2
收藏
分享
牛客网
牛客企业服务