题解 | #含有无关项的序列检测#

含有无关项的序列检测

http://www.nowcoder.com/practice/cba67d06d6834a5d9b93e1087b56c8d8

很简单的题目,缓存序列,判断前三位和后三位是否符合要求即可。 `timescale 1ns/1ns module sequence_detect( input clk, input rst_n, input a, output reg match );

reg [8:0] sequence;

always @(posedge clk or negedge rst_n) begin
    if (~rst_n) begin
        sequence <= 9'b0;
    end
    else begin
        sequence <= {sequence[7:0],a};
    end
end

always @(posedge clk or negedge rst_n) begin
    if (~rst_n) begin
        match <= 0;
    end
    else if (sequence[8:6] == 3'b011 && sequence[2:0] == 3'b110) begin
        match <= 1;
    end
    else begin
        match <= 0;
    end
end

endmodule

全部评论

相关推荐

10-15 16:27
门头沟学院 C++
LeoMoon:建议问一下是不是你给他付钱😅😅
点赞 评论 收藏
分享
我已成为0offer的糕手:别惯着,胆子都是练出来的,这里认怂了,那以后被裁应届被拖工资还敢抗争?
点赞 评论 收藏
分享
评论
8
收藏
分享
牛客网
牛客企业服务