题解 | #根据状态转移表实现时序电路#三段式FSM

根据状态转移表实现时序电路

http://www.nowcoder.com/practice/455c911bee0741bf8544a75d958425f7

`timescale 1ns/1ns

module seq_circuit( input A , input clk , input rst_n,

  output   wire        Y   

); reg [1:0] state; reg [1:0] next_state; reg Y1; assign Y=Y1;

always @(*)begin
    Y1=&state;
end

always @(*)begin
    next_state[0]=~state[0];
    next_state[1]=(^(state))^A;
end

always@(posedge clk or negedge rst_n)begin
    if(~rst_n)begin
        state<=0;
    end
    else begin
        state<=next_state;
    end
end

endmodule

全部评论
这算二段式吧
点赞 回复 分享
发布于 2023-02-10 13:19 湖北

相关推荐

点赞 评论 收藏
分享
2 收藏 评论
分享
牛客网
牛客企业服务